Skip to main content

Carcass en el W.O.A. ( Waken Open Air )




La banda Inglesa Leyendas del Grindcore aparecen de vuelta para tocar en vairos conciertos o Tours al rededor de Europa lastima que no tocaran america latina o no tengo asegurado nada..

fui enterado de la informacion hace apenas unos minutos y yo emocionado por la noticia malo que no puedo ir hasta aya por que no tengo el efectivo para irlos a ver XD

pero si lo tuviera me voy en el acto XD me llevo a mi novia para que aprenda de buena musica y no cochinadas del Reggeton
ok

aqui les dejo los links donde pueden ver informacion relacionada con ellos.

MySpace Carcass

W.O.A. Carcass

Comments

Popular posts from this blog

Llamar a 1 800 en USA desde Mexico

Cómo llamar números gratis en USA [toll free] desde México Ok, resulta que alguna vez los números gratis, se llamaban números 1- 800 (01-800 desde México). Pero lo malo de los números es que se acaban, Sobre todo en USA que les encanta vender todo. Ahora los Números gratis pueden ser 1 - 800, 1 - 877, 1 - 866 Para los americanos es cuestion de acostumbrarse, pero para nosotros los usuarios de telmex ¿cómo le hacemos si queremos marcar? Pues en primera pagando, los números gratis en USA usualmente no son gratis desde otros paises. Y en segunda, marcando correctamente. Muchos ya se saben el de los 800, es nada mas cuestion de cambiar el 01 - 800 por 001 - 881, pero y los 1 - 866 ? y los 1 - 877 ? Ahhh pues en mi otro blog ya tenía una entrada con una tablita que lo explica: Si el numero comienza con 1 888 se marca: 001 881 Si el numero comienza con 1 877 se marca: 001 882 Si el numero comienza con 1 866 se marca: 001 883 ¿Por qué? No tengo la más mínima idea, supongo que...

Maquina Moore Ejemplo: Ascensor

entity behavioral is port ( Planta_Baja : in std_logic; Piso_Uno    : in std_logic; Piso_Dos    : in std_logic; salidas     : out std_logic_vector(1 downto 0) -- para polarizar el motor, tierra y voltaje por eso se require los dos bits ); end behavioral architecture AscMoore of behavioral is type ascEstados is (PB, 1, 2); signal Estados, prox_estados AscEstados; begin Process(init, PB_UP, P1_UP, P1_DOwN, P2, P2_DOWN) signal estado, prox_edo : AscMoore; begin Process (estado,Planta_Baja, Planta_Un, Planta_Dos) begin case estado is when init => if Planta_Baja='1' then Prox_estado <= PB_UP else Prox_estado <=init; endif; when PB_UP => prox_estado <= P1_UP; when P1_UP => if Piso_Uno='1' then Prox_estado <= P1_UP; else Prox_estado <= P1_DOWN; endif; when P1_DOWN => Prox_estado <= init; Process (estado) ...

Multiplexor ( codigo ) vhdl

library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity Multiplexor is     Port ( a : in  STD_LOGIC;            b : in  STD_LOGIC;            c : in  STD_LOGIC;            d : in  STD_LOGIC;            sel : in  STD_LOGIC_VECTOR (1 downto 0);            x : inout  STD_LOGIC); end Multiplexor; architecture Behavioral of Multiplexor is signal g:std_logic; begin process (a,b,c,d,sel) begin if sel = "00" then x<=a; elsif sel = "01" then x<=b; elsif sel = "10" then x<=c; else x<=d; end if; end process; end Behavioral;