Skip to main content

Ejemplo Constrains Oracle


Incrementar los salarios de los empleados del departamento ‘IT’ en 1%, levantar una excepción en caso de que el salario 
actualizado exceda el salario máximo permitido para el puesto 


DECLARE
  name_department  departments.department_name%TYPE := 'IT';
incremento number := 1;
nvo_salario employees.SALARY%TYPE;
CURSOR CIncEmp(name_dept departments.department_name%TYPE) IS
    SELECT employee_id, first_name ||' '|| last_name as NombreEmp, salary, max_salary
FROM employees join jobs using(job_id)
JOIN departments using(department_id)
WHERE department_name = name_dept
    ORDER BY employee_id
    FOR UPDATE OF salary NOWAIT;

  BEGIN

  FOR RegEmp IN CIncEmp(name_department) LOOP
    DBMS_OUTPUT.PUT_LINE('ID. Empleado: ' || ' ' || RegEmp.employee_id);
DBMS_OUTPUT.PUT_LINE('Nombre Empleado: ' || ' ' || RegEmp.NombreEmp);
DBMS_OUTPUT.PUT_LINE('Salario Actual: ' || ' ' || RegEmp.salary);
DBMS_OUTPUT.PUT_LINE('% Incremento: ' || ' ' || Incremento/100);
Nvo_Salario:= RegEmp.salary * (1 + (incremento/100));
IF Nvo_Salario <= RegEmp.max_salary THEN
      UPDATE employees   SET salary = Nvo_Salario
      where current of CIncEmp;
DBMS_OUTPUT.PUT_LINE('Nuevo Salario ' || ' ' || Nvo_Salario);
    ELSE
      DBMS_OUTPUT.PUT_LINE('El aumento excede el limite preestablecido ' || RegEmp.salary ||' ' ||Nvo_Salario);
    END IF;
  END LOOP;
commit;
END;

Comments

Popular posts from this blog

Maquina de Mealy Ejemplo: Ascensor

entity behavioral is port ( Planta_Baja : in std_logic; Piso_Uno    : in std_logic; Piso_Dos    : in std_logic; salidas     : out std_logic_vector(1 downto 0) -- para polarizar el motor, tierra y voltaje por eso se require los dos bits ); end behavioral architecture AscMealy of behavioral is type ascEstados is (PB, 1, 2); signal Estados, prox_estados AscEstados; begin Process(Planta_Baja, Piso_Uno, Piso_Dos, Estado) begin Case PB => if (Planta_Baja = '1')then Prox_estado <= 1; else Prox_estado <= PB; endif; case 1 => if (Piso_Uno = '1')then Prox_estado <= 2; else prox_estado <= 1; endif; case 2 => if (Piso_Dos = '1')then Prox_estado <= 1; else prox_estado <= 2; endif; end Process; Process (reloj, Reset) begin if (Reset = '1')then estado <= PB; elsif (clk'event and clk = '1') then ...

Llamar a 1 800 en USA desde Mexico

Cómo llamar números gratis en USA [toll free] desde México Ok, resulta que alguna vez los números gratis, se llamaban números 1- 800 (01-800 desde México). Pero lo malo de los números es que se acaban, Sobre todo en USA que les encanta vender todo. Ahora los Números gratis pueden ser 1 - 800, 1 - 877, 1 - 866 Para los americanos es cuestion de acostumbrarse, pero para nosotros los usuarios de telmex ¿cómo le hacemos si queremos marcar? Pues en primera pagando, los números gratis en USA usualmente no son gratis desde otros paises. Y en segunda, marcando correctamente. Muchos ya se saben el de los 800, es nada mas cuestion de cambiar el 01 - 800 por 001 - 881, pero y los 1 - 866 ? y los 1 - 877 ? Ahhh pues en mi otro blog ya tenía una entrada con una tablita que lo explica: Si el numero comienza con 1 888 se marca: 001 881 Si el numero comienza con 1 877 se marca: 001 882 Si el numero comienza con 1 866 se marca: 001 883 ¿Por qué? No tengo la más mínima idea, supongo que...

Cronometro (codigo) vhdl

library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity cronometro is     Port ( display : out  STD_LOGIC_VECTOR (7 downto 0);            clk, reset, enc : in  STD_LOGIC;            An  : out  STD_LOGIC_VECTOR (3 downto 0)); end cronometro; architecture Behavioral of cronometro is signal contador: std_logic_vector  (3 downto 0) :="0000"; signal segundos: std_logic_vector  (13 downto 0) :="00000000000000"; signal contador1: std_logic_vector  (3 downto 0) :="0000"; signal DecSeg: std_logic_vector  (9 downto 0) :="0000000000"; signal contador2: std_logic_vector  (3 downto 0) :="0000"; signal CentSeg: std_logic_vector  (7 downto 0) :="00000000"; signal Anodos: std_logic_vector (1 downto 0 ):="00"; begin Process (clk, reset, contador, segundos) begin if (clk'event and clk = '1') then ...