% A es la matriz de coeficientes
% b es el vector de terminos independientes
% x es el vector solucion
% n es el orden del sistema
[n,m] = size(A);
% eliminacion
for i=1:n
for k=i+1:n
factor = (A(k,i)/A(i,i));
A(k,i:n) = A(k,i:n) - factor*A(i,i:n);
b(k) = b(k) - factor*b(i);
end
end
%sustitucion inversa
x = zeros(1,n);
x(n) = b(n)/A(n,n);
for k=n-1:-1:1
x(k) = (b(k) - sum(x(k+1:n).*A(k,k+1:n)))/A(k,k);
end
x
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Multimetro is port( clk: in std_logic; tst: inout std_logic_vector (3 downto 0); display: out std_logic_vector (7 downto 0):="00000000"; leds, anodos: out std_logic_vector (3 downto 0):="0000"); end Multimetro; architecture Behavioral of Multimetro is signal barrido: std_logic_vector (1 downto 0):="00"; signal anodo: std_logic_vector (3 downto 0):="0000"; signal contador: std_logic_vector (3 downto 0):="0000"; signal disp,disp1,disp2,disp3: std_logic_vector (7 downto 0):="00000010"; signal x,y,z,w: std_logic_vector (3 downto 0):="0000"; begin process(clk) begin if(clk'event and clk='1') then barrido<=barrido+'1'; if contador contador<=contador+'1'; elsif contador>tst then contador<="0000...
Comments