Skip to main content

Lectura de la cara de una Llanta

Lectura De La Pared Lateral Externa De La Llanta

Hay una gran cantidad de información amoldada en la pared lateral externa de una llanta. Muestra el nombre de la llanta, su tamaño, si es del tipo sellomática o no, el grado de la llanta, la clasificación de velocidad, la carga máxima, el inflado máximo, una advertencia de seguridad importante, y más. Haga "click" sobre un área dentro de la ilustración de la llanta para aprender acerca de los campos individuales de información. (El seguimiento de la ilustración es un resumen de esta información).





Información En El Costado
De La Llanta De Pasajero

Para Información sobre la Pared Lateral Externa de las Llantas de
Camiones Ligeros, haga click aquí.

P-metric es la versión de E.U. de un sistema métrico de medidas de llanta. "P" es la inicial de pasajero. "215" representa el ancho de la llanta en milímetros. "65" es la proporción dimensional; la proporción de altura y ancho; la altura de esta llanta es 65% de su ancho.

La "R" significa radial. La "B" en lugar de la "R" significa que la llanta está construida con capas de cinturones colocados en direcciones opuestas. La "D" en lugar de la "R" quiere decir que la construcción es diagonal o convencional.

"15" es el diámetro del rin en pulgadas.



Esta llanta contiene una Descripción de Servicio en relación a las clasificaciones de carga y velocidad. El número "89" corresponde a la carga estándar máxima de 1,279 libras. La "H" corresponde al servicio de velocidad estándar máximo de la industria de 130 kilómetros por hora. Las llantas que usen un sistema europeo antiguo tienen el nivel de velocidad en la descripción de tamaño: 215/65HR15.

Las letras "DOT" certifican el cumplimiento con todos los estándares de seguridad aplicables establecidos por el Departamento de Transporte de los Estados Unidos (DOT por sus siglas en inglés). Adyacente a éste hay una identificación de la llanta o número de serie; una combinación de números y letras con hasta 11 dígitos.

La pared lateral externa también muestra el tipo de cuerda y número de capas en la pared lateral externa y bajo el surco.

La carga máxima se muestra en lbs. (libras) y en Kgs. (kilogramos), y la presión máxima en PSI (libras por pulgada cuadrada) y en kPa (kilopascales). Los kilogramos y los kilopascales son unidades de medida métrica.



Grados De Neumáticos Y Clasificación De Velocidad

Sistema Uniforme de Clasificación de la Calidad de las llantas (UTQG por sus siglas en inglés)
Excepto las llantas para nieve, el Departamento de Transporte de los Estados Unidos (DOT) requiere que los fabricantes clasifiquen las llantas de autos de pasajeros basados en tres factores de desempeño: desgaste de los surcos, tracción y resistencia de temperatura. La clasificación del UTQG de la llanta de auto de pasajeros Goodyear y de camioneta se enlista en el catálogo de Llantas de Auto y Camioneta.

Desgaste de los Surcos (Treadwear)

* Más de 100 - Mejor
* 100 - Línea de Base
* Menos de 100 - Peor

El grado de desgaste del surco es una clasificación comparativa basada sobre el nivel de desgaste de la llanta cuando ésta se prueba bajo condiciones controladas sobre una pista de prueba específica del gobierno. Una llanta de clasificación de 200 duraría el doble sobre una pista de prueba del gobierno que una de clasificación 100. Su kilometraje de llanta real depende de las condiciones de su uso y puede variar debido a los hábitos de manejo, prácticas de servicio, diferencia de características en las vías y clima. Nota: las clasificaciones de desgaste de los surcos son válidas sólo para efectos de comparación dentro de una línea de producto del fabricante. No son válidas para hacer comparaciones entre fabricantes.

Tracción

* A - Mejor
* B - Intermedio
* C - Aceptable

Las clasificaciones de tracción representan la capacidad de la llanta para detenerse sobre pavimento mojado al medirse bajo condiciones controladas sobre superficies gubernamentales de prueba de asfalto y concreto. La clasificación de la tracción se basa en la prueba de frenado "derecho", no indica capacidad de dar vuelta.

Temperatura

* A - Mejor
* B - Intermedio
* C - Aceptable

Las clasificaciones de temperatura representan la resistencia de la llanta a la generación de calor cuando se prueba bajo condiciones controladas sobre una rueda de prueba de laboratorio hecha bajo techo. Las temperaturas altas constantes pueden causar que los materiales de la llanta se descompongan y por tanto reduzcan la vida de la llanta. La temperatura excesiva puede ocasionar que la llanta no funcione. La ley federal requiere que todas las llantas cumplan con al menos los requerimientos mínimos de la clasificación "C".

Clasificación de Velocidad
La clasificación de la velocidad es la velocidad de servicio máxima de una llanta de vehículo de pasajeros. Las llantas de camiones ligeros no se encuentran clasificadas por su velocidad. Las clasificaciones de la velocidad de las llantas Goodyear de vehículos de pasajeros se enlistan en las páginas de "Tamaños y Especificaciones" del catálogo de Llantas de Auto/Camioneta. He aquí una lista de indicadores de clasificación y sus equivalentes en kilómetros por hora. Este sistema de clasificación se aplica a todos los fabricantes de llantas.

Clasificación Velocidad Máxima
Q 99 mph
S 112 mph
T 118 mph
U 124 mph
H 130 mph
V Más de 130 mph (sin descripción de servicio)
V 149 mph (con descripción de servicio)
Z Más de 149 mph



Llantas Para Camioneta
Información En el Costado

"LT" quiere decir Camioneta (por sus siglas en inglés).

"LT235/85R16" es la designación de tamaño de una llanta métrica de camioneta.

"LOAD RANGE D" (Rango de Carga "D") identifica los límites de inflado y carga.

"RADIAL" que la llanta tiene una construcción radial.

"MAX CARGA SIMPLE 2323 lbs. A 65 psi de FRIO" indica la clasificación máxima de la carga de la llanta y la correspondiente presión de inflado fría mínima al usarse en una configuración dual.

Las otras marcas en la pared lateral externa tienen el mismo significado que se describe para la llanta de vehiculo de pasajeros.

FUENTE

Comments

José Antonio said…
Muchas gracias por la informaciòn

Popular posts from this blog

Llamar a 1 800 en USA desde Mexico

Cómo llamar números gratis en USA [toll free] desde México Ok, resulta que alguna vez los números gratis, se llamaban números 1- 800 (01-800 desde México). Pero lo malo de los números es que se acaban, Sobre todo en USA que les encanta vender todo. Ahora los Números gratis pueden ser 1 - 800, 1 - 877, 1 - 866 Para los americanos es cuestion de acostumbrarse, pero para nosotros los usuarios de telmex ¿cómo le hacemos si queremos marcar? Pues en primera pagando, los números gratis en USA usualmente no son gratis desde otros paises. Y en segunda, marcando correctamente. Muchos ya se saben el de los 800, es nada mas cuestion de cambiar el 01 - 800 por 001 - 881, pero y los 1 - 866 ? y los 1 - 877 ? Ahhh pues en mi otro blog ya tenía una entrada con una tablita que lo explica: Si el numero comienza con 1 888 se marca: 001 881 Si el numero comienza con 1 877 se marca: 001 882 Si el numero comienza con 1 866 se marca: 001 883 ¿Por qué? No tengo la más mínima idea, supongo que...

Multiplexor ( codigo ) vhdl

library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity Multiplexor is     Port ( a : in  STD_LOGIC;            b : in  STD_LOGIC;            c : in  STD_LOGIC;            d : in  STD_LOGIC;            sel : in  STD_LOGIC_VECTOR (1 downto 0);            x : inout  STD_LOGIC); end Multiplexor; architecture Behavioral of Multiplexor is signal g:std_logic; begin process (a,b,c,d,sel) begin if sel = "00" then x<=a; elsif sel = "01" then x<=b; elsif sel = "10" then x<=c; else x<=d; end if; end process; end Behavioral;

sumador con carry a bcd atmel89s51

ini: mov P1,0aah Inicio: mov P1, #095h mov a, P1 mov b, P1 swap a anl b, #00001111b anl a, #00001111b c0: cjne a, #00, c1 mov r0, a mov a, b jmp fin c1: cjne a, #01, c2 mov r0, a mov a, b jmp fin c2: cjne a, #02, c3 mov r0, a mov a, b jmp fin c3: cjne a, #03, c4 mov r0, a mov a, b jmp fin c4: cjne a, #04, c5 mov r0, a mov a, b jmp fin c5: cjne a, #05, c6 mov r0, a mov a, b jmp fin c6: cjne a, #06, c7 mov r0, a mov a, b jmp fin c7: cjne a, #07, c8 mov r0, a mov a, b jmp fin c8: cjne a, #08, c9 mov r0, a mov a, b jmp fin c9: cjne a, #09, c0 mov r0, a mov a, b jmp fin jmp Inicio fin: mov b, #00 cc0: cjne a, #00, cc1 add a, r0 jmp fin2 cc1: cjne a, #01, cc2 add a, r0 jmp fin2 cc2: cjne a, #02, cc3 add a, r0 jmp fin2 cc3: cjne a, #03, cc4 add a, r0 jmp fin2 cc4: cjne a, #04, cc5 add a, r0 jmp fin2 cc5: cjne a, #05, cc6 add a, r0 jmp fi...