Skip to main content

Nombres raros (sacado de la Revista Muy Interesante)

Se asegura en la revista que los nombres son reales, y el escritor del libro donde aparecen muestra el DNI de cada uno de ellos.

___________________________________________________


Antonio Bragueta Suelta (no me digais que no tuvo que ser una
infancia dura... ¡¡¡qué chinga le arrimaron!!!).

Agustín Cabeza Compostizo (que alguien le conserve el pelo...).

Román Calavera Calva (este se puede juntar con el anterior y crear
una asociación "no sin mi pelo".

Alberto Comino Grande (perdón, ¿qué dices que tienes grande?).

Pascual Conejo Enamorado

Jesús Están Camino

Eva Fina Segura

Margarita Flores del Campo (Pero ¿en qué pensaban esos padres?
¿Eran hippies e iban fumados?).

Isolina Gato Sardina

José Luis Lamata Feliz

Amparo Loro Raro

Miguel Marco Gol (y los padres marcándole el camino desde
pequeño).

Ana Mier de Cilla (Mier-de-siYa)

Rosa Pechoabierto y del Cacho

Evaristo Piernabiertas

Presentación de Piernas

José de la Polla(En este caso el nombre es lo de menos... qué más
da como te llames... te apellidas DE LA POLLA...

Ramona Ponte Alegre

Ana Pulpito Salido

Emiliano Salido del Pozo (soy minerooo... ah no, que soy del pozo).

José Sin Mayordomo (como todos, ¡no te chinga!)

Pedro Trabajo Cumplido (este queda bien sin hacer nada).

Abundio Verdugo de Dios

Miren Amiano (A-mi-ano jajajajajajajajajajajaj xD)

Francisco José Folla Doblado

Fernando Coco Cuadrado

Comments

Diego said…
Mi preferido es Bragueta suelta sin duda. Abro la votación.

Popular posts from this blog

Llamar a 1 800 en USA desde Mexico

Cómo llamar números gratis en USA [toll free] desde México Ok, resulta que alguna vez los números gratis, se llamaban números 1- 800 (01-800 desde México). Pero lo malo de los números es que se acaban, Sobre todo en USA que les encanta vender todo. Ahora los Números gratis pueden ser 1 - 800, 1 - 877, 1 - 866 Para los americanos es cuestion de acostumbrarse, pero para nosotros los usuarios de telmex ¿cómo le hacemos si queremos marcar? Pues en primera pagando, los números gratis en USA usualmente no son gratis desde otros paises. Y en segunda, marcando correctamente. Muchos ya se saben el de los 800, es nada mas cuestion de cambiar el 01 - 800 por 001 - 881, pero y los 1 - 866 ? y los 1 - 877 ? Ahhh pues en mi otro blog ya tenía una entrada con una tablita que lo explica: Si el numero comienza con 1 888 se marca: 001 881 Si el numero comienza con 1 877 se marca: 001 882 Si el numero comienza con 1 866 se marca: 001 883 ¿Por qué? No tengo la más mínima idea, supongo que

Cronometro (codigo) vhdl

library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity cronometro is     Port ( display : out  STD_LOGIC_VECTOR (7 downto 0);            clk, reset, enc : in  STD_LOGIC;            An  : out  STD_LOGIC_VECTOR (3 downto 0)); end cronometro; architecture Behavioral of cronometro is signal contador: std_logic_vector  (3 downto 0) :="0000"; signal segundos: std_logic_vector  (13 downto 0) :="00000000000000"; signal contador1: std_logic_vector  (3 downto 0) :="0000"; signal DecSeg: std_logic_vector  (9 downto 0) :="0000000000"; signal contador2: std_logic_vector  (3 downto 0) :="0000"; signal CentSeg: std_logic_vector  (7 downto 0) :="00000000"; signal Anodos: std_logic_vector (1 downto 0 ):="00"; begin Process (clk, reset, contador, segundos) begin if (clk'event and clk = '1') then if reset= '0' then contad

Voltimetro en VHDL

library IEEE; use IEEE.std_logic_1164.all; library work; use work.Estructuras_de_Datos.all; entity Voltimetro is         port (  clock: in std_logic;                         d : in std_logic;                         not_q: out std_logic;                                                  hs,vs: out std_logic;                         r,g,b: out std_logic                                                                      );                          end entity; architecture arc1 of Voltimetro is component Contador_Principal is                  port (  clock : in std_logic;                         q_ffd: in std_logic;                         reset: in std_logic;                         salida: out num_BCD(2 downto 0)                 ); end component; component Sigma_Delta is         port(                         clock: in std_logic;                         d: in std_logic;                         n_q: out std_logic;