Skip to main content

Maquina Moore Ejemplo: Ascensor

entity behavioral is port (
Planta_Baja : in std_logic;
Piso_Uno    : in std_logic;
Piso_Dos    : in std_logic;
salidas     : out std_logic_vector(1 downto 0) -- para polarizar el motor, tierra y voltaje por eso se require los dos bits

);
end behavioral

architecture AscMoore of behavioral is
type ascEstados is (PB, 1, 2);
signal Estados, prox_estados AscEstados;
begin
Process(init, PB_UP, P1_UP, P1_DOwN, P2, P2_DOWN)
signal estado, prox_edo : AscMoore;
begin
Process (estado,Planta_Baja, Planta_Un, Planta_Dos)
begin
case estado is
when init =>
if Planta_Baja='1' then
Prox_estado <= PB_UP
else
Prox_estado <=init;
endif;

when PB_UP => prox_estado <= P1_UP;

when P1_UP =>
if Piso_Uno='1' then
Prox_estado <= P1_UP;
else
Prox_estado <= P1_DOWN;
endif;
when P1_DOWN => Prox_estado <= init;



Process (estado)
begin
case estado is
when init => salidas <= "00";
when PB_UP => salidas <= "10";
when P1_UP => salidas <= "10";
when P1_DOWN => salidas <= "01";
when P2 => salidas <= "00";
when P2_DOWN => salidas <= "01";
end case;
end Process;
end architecture;

Comments

Popular posts from this blog

Llamar a 1 800 en USA desde Mexico

Cómo llamar números gratis en USA [toll free] desde México Ok, resulta que alguna vez los números gratis, se llamaban números 1- 800 (01-800 desde México). Pero lo malo de los números es que se acaban, Sobre todo en USA que les encanta vender todo. Ahora los Números gratis pueden ser 1 - 800, 1 - 877, 1 - 866 Para los americanos es cuestion de acostumbrarse, pero para nosotros los usuarios de telmex ¿cómo le hacemos si queremos marcar? Pues en primera pagando, los números gratis en USA usualmente no son gratis desde otros paises. Y en segunda, marcando correctamente. Muchos ya se saben el de los 800, es nada mas cuestion de cambiar el 01 - 800 por 001 - 881, pero y los 1 - 866 ? y los 1 - 877 ? Ahhh pues en mi otro blog ya tenía una entrada con una tablita que lo explica: Si el numero comienza con 1 888 se marca: 001 881 Si el numero comienza con 1 877 se marca: 001 882 Si el numero comienza con 1 866 se marca: 001 883 ¿Por qué? No tengo la más mínima idea, supongo que

Cronometro (codigo) vhdl

library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity cronometro is     Port ( display : out  STD_LOGIC_VECTOR (7 downto 0);            clk, reset, enc : in  STD_LOGIC;            An  : out  STD_LOGIC_VECTOR (3 downto 0)); end cronometro; architecture Behavioral of cronometro is signal contador: std_logic_vector  (3 downto 0) :="0000"; signal segundos: std_logic_vector  (13 downto 0) :="00000000000000"; signal contador1: std_logic_vector  (3 downto 0) :="0000"; signal DecSeg: std_logic_vector  (9 downto 0) :="0000000000"; signal contador2: std_logic_vector  (3 downto 0) :="0000"; signal CentSeg: std_logic_vector  (7 downto 0) :="00000000"; signal Anodos: std_logic_vector (1 downto 0 ):="00"; begin Process (clk, reset, contador, segundos) begin if (clk'event and clk = '1') then if reset= '0' then contad

Voltimetro en VHDL

library IEEE; use IEEE.std_logic_1164.all; library work; use work.Estructuras_de_Datos.all; entity Voltimetro is         port (  clock: in std_logic;                         d : in std_logic;                         not_q: out std_logic;                                                  hs,vs: out std_logic;                         r,g,b: out std_logic                                                                      );                          end entity; architecture arc1 of Voltimetro is component Contador_Principal is                  port (  clock : in std_logic;                         q_ffd: in std_logic;                         reset: in std_logic;                         salida: out num_BCD(2 downto 0)                 ); end component; component Sigma_Delta is         port(                         clock: in std_logic;                         d: in std_logic;                         n_q: out std_logic;